Machine Learning Techniques to Evaluate the Approximation of Utilization Power in Circuits

Main Article Content

Varghese M. P.
T. Muthumanickam

Abstract

The need for products that are more streamlined, more useful, and have longer battery lives is rising in today's culture. More components are being integrated onto smaller, more complex chips in order to do this. The outcome is higher total power consumption as a result of increased power dissipation brought on by dynamic and static currents in integrated circuits (ICs). For effective power planning and the precise application of power pads and strips by floor plan engineers, estimating power dissipation at an early stage is essential. With more information about the design attributes, power estimation accuracy increases. For a variety of applications, including function approximation, regularization, noisy interpolation, classification, and density estimation, they offer a coherent framework. RBFNN training is also quicker than training multi-layer perceptron networks. RBFNN learning typically comprises of a linear supervised phase for computing weights, followed by an unsupervised phase for determining the centers and widths of the Gaussian basis functions. This study investigates several learning techniques for estimating the synaptic weights, widths, and centers of RBFNNs. In this study, RBF networks—a traditional family of supervised learning algorithms—are examined.  Using centers found using k-means clustering and the square norm of the network coefficients, respectively, two popular regularization techniques are examined. It is demonstrated that each of these RBF techniques are capable of being rewritten as data-dependent kernels. Due to their adaptability and quicker training time when compared to multi-layer perceptron networks, RBFNNs present a compelling option to conventional neural network models. Along with experimental data, the research offers a theoretical analysis of these techniques, indicating competitive performance and a few advantages over traditional kernel techniques in terms of adaptability (ability to take into account unlabeled data) and computing complexity. The research also discusses current achievements in using soft k-means features for image identification and other tasks.

Article Details

How to Cite
M. P., V. ., & Muthumanickam, T. (2023). Machine Learning Techniques to Evaluate the Approximation of Utilization Power in Circuits. International Journal on Recent and Innovation Trends in Computing and Communication, 11(10s), 312–320. https://doi.org/10.17762/ijritcc.v11i10s.7632
Section
Articles

References

S. Kim et al., "Middle-of-the-Line Reliability Characterization of Recessed-Diffusion-Contact Adopted sub-5nm Logic Technology", 2022 IEEE International Reliability Physics Symposium (IRPS), pp. 7, 2022

R. Islam, "Early Stage DRC Prediction Using Ensemble Machine Learning Algorithms," in IEEE Canadian Journal of Electrical and Computer Engineering, vol. 45, no. 4, pp. 354-364, Fall 2022.

A. Onan, "Sentiment analysis on massive open online course evaluations: A text mining and deep learning approach", Comput. Appl. Eng. Educ., vol. 29, no. 3, pp. 572-589, May 2021.

M. A. Toço?lu and A. Onan, "Sentiment analysis on students’ evaluation of higher educational institutions", Proc. Int. Conf. Intell. Fuzzy Syst., pp. 1693-1700, 2020

A. Onan, S. Koruko?lu and H. Bulut, "A hybrid ensemble pruning approach based on consensus clustering and multi-objective evolutionary algorithm for sentiment classification", Inf. Process. Manag., vol. 53, no. 4, pp. 814-833, Jul. 2017.

A. Onan and M. A. Toçoglu, "A term weighted neural language model and stacked bidirectional LSTM based framework for sarcasm identification", IEEE Access, vol. 9, pp. 7701-7722, 2021.

S. Pentapati, B. W. Ku and S. Lim, "Machine Learning Integrated Pseudo-3-D Flow for Monolithic 3-D ICs," in IEEE Journal on Exploratory Solid-State Computational Devices and Circuits, vol. 7, no. 1, pp. 35-42, June 2021.

B. W. Ku, K. Chang and S. K. Lim, "Compact-2D: A physical design methodology to build two-tier gate-level 3-D ICs", IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 39, no. 6, pp. 1151-1164, Jun. 2020.

Naik KA, Raj RDA, Rao CV, Babu TS. Generalized cryptographic image processing approaches using integer-series transformation for solar power optimization under partial shading. Energ Conver Manage. 2022

S. S. K. Pentapati, B. W. Ku and S. K. Lim, "ML-based wire RC prediction in monolithic 3D ICs with an application to full-chip optimization", Proc. Int. Symp. Phys. Design (ISPD), pp. 75-82, Mar. 2021.

S. S. K. Pentapati, K. Chang, V. Gerousis, R. Sengupta and S. K. Lim, "Pin-3D: A physical synthesis and post-layout optimization flow for heterogeneous monolithic 3D ICs", Proc. IEEE/ACM Int. Conf.Comput. Aided Design (ICCAD), pp. 1-9, Nov. 2020.

Y. -C. Lu, J. Lee, A. Agnesina, K. Samadi and S. K. Lim, "A Clock Tree Prediction and Optimization Framework Using Generative Adversarial Learning," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 41, no. 9, pp. 3104-3117, Sept. 2022, doi: 10.1109/TCAD.2021.3122109.

S. Koh, Y. Kwon and Y. Shin, "Pre-layout clock tree estimation and optimization using artificial neural network", Proc. ACM/IEEE Int. Symp. Low Power Electron. Design, pp. 193-198, 2020

A. B. Chowdhury, B. Tan, S. Garg and R. Karri, "Robust Deep Learning for IC Test Problems," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 41, no. 1, pp. 183-195, Jan. 2022.

A. F. Tabrizi, N. K. Darav, L. Rakai, I. Bustany, A. Kennings and L. Behjat, "Eh?predictor: A deep learning framework to identify detailed routing short violations from a placed netlist", IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 39, no. 6, pp. 1177-1190, Jun. 2020.

K. Liu et al., "Adversarial perturbation attacks on ML-based CAD: A case study on CNN-based lithographic hotspot detection", ACM Trans. Des. Autom. Electron. Syst., vol. 25, no. 5, pp. 1-31, Aug. 2020.

S. Majzoub, R. Saleh, M. Taouil, S. Hamdioui and M. Bamakhrama, "Rapid Design-Space Exploration for Low-Power Manycores Under Process Variation Utilizing Machine Learning," in IEEE Access, vol. 10, pp. 70187-70203, 2022, doi: 10.1109/ACCESS.2022.3187140.

M. F. Reza, "Machine learning for design and optimization challenges in multi/many-core network-on-chip", Proc. 14th Int. Workshop Netw. Chip Architectures, pp. 29-34, Oct. 2021.

N. Wu, L. Deng, G. Li and Y. Xie, "Core placement optimization for multi-chip many-core neural network systems with reinforcement learning", ACM Trans. Des. Autom. Electron. Syst., vol. 26, no. 2, pp. 1-27, Feb. 2021.

Liu, Z.-H.; Nie, J.; Wei, H.-L.; Chen, L.; Li, X.-H.; Lv, M.-Y. Switched PI Control Based MRAS for Sensorless Control of PMSM Drives Using Fuzzy-Logic-Controller. IEEE Open J. Power Electron. 2022

A. Agnesina, K. Chang and S. K. Lim, "Parameter Optimization of VLSI Placement Through Deep Reinforcement Learning," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 42, no. 4, pp. 1295-1308, April 2023, doi: 10.1109/TCAD.2022.3193647.

Y. -C. Lu, S. Pentapati, L. Zhu, G. Murali, K. Samadi and S. K. Lim, "A Machine Learning-Powered Tier Partitioning Methodology for Monolithic 3-D ICs," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 41, no. 11, pp. 4575-4586, Nov. 2022.

Steven Martin, Thomas Wood, María Fernández, Maria Hernandez, .María García. Machine Learning for Educational Robotics and Programming. Kuwait Journal of Machine Learning, 2(2). Retrieved from http://kuwaitjournals.com/index.php/kjml/article/view/179

T.Liu,etal.,“Multi-output selective ensemble identification of non-linear and non stationary industrial processes,”IEEE Trans. Neural Networks and Learning Systems,vol.33,no.5,pp.1867–1880,May2022

T. -Y. Hsieh, C. -C. Cheng, W. -J. Chao and P. -X. Wu, "On Development of Reliable Machine Learning Systems Based on Machine Error Tolerance of Input Images," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 42, no. 4, pp. 1323-1335, April 2023.

A. Bochkovskiy, C.-Y. Wang and H.-Y. Liao, "Yolov4: Optimal speed and accuracy of object detection", arXiv:2004.10934, 2020.

Fallon, Elias. "Machine learning in EDA: Opportunities and challenges." 2020 ACM/IEEE 2nd Workshop on Machine Learning for CAD (MLCAD). IEEE, 2020.

Pandey, Manish. "Machine learning and systems for building the next generation of EDA tools." 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC). IEEE, 2018.

Xie, Zhiyao, Tao Zhang, and Yifeng Peng. "Security and Reliability Challenges in Machine Learning for EDA: Latest Advances." 2023 24th International Symposium on Quality Electronic Design (ISQED). IEEE, 2023.

Govarthan, Praveen Kumar, et al. "Comparative Analysis of Electrodermal Activity Decomposition Methods in Emotion Detection Using Machine Learning." Caring is Sharing–Exploiting the Value in Data for Health and Innovation. IOS Press, 2023.

Koblah, David, et al. "A survey and perspective on artificial intelligence for security-aware electronic design automation." ACM Transactions on Design Automation of Electronic Systems, 2023.

Hassan, Rakibul, et al. "Automated Supervised Topic Modeling Framework for Hardware Weaknesses." 2023 24th International Symposium on Quality Electronic Design (ISQED). IEEE, 2023.

Wang, Peiyong, et al. "Automated quantum circuit design with nested monte carlo tree search." IEEE Transactions on Quantum Engineering , 2023.

Valencia-Ponce, Martin Alejandro, et al. "Integrated Circuit Design of Fractional-Order Chaotic Systems Optimized by Metaheuristics." Electronics, 2023.

Li, Chengxi, et al. "Deep reinforcement learning in smart manufacturing: A review and prospects." CIRP Journal of Manufacturing Science and Technology, 2023.

Javeed, Ashir, et al. "Machine Learning for Dementia Prediction: A Systematic Review and Future Research Directions." Journal of medical systems, 2023.

Guo, Wenxuan, et al. "Machine learning methods in solving the boolean satisfiability problem." Machine Intelligence Research, 2023.

E. D. Cubuk, B. Zoph, D. Mane, V. Vasudevan and Q. V. Le, "AutoAugment: Learning augmentation strategies from data", 2021

Sandeep Kadam, & T. Srinivasarao. (2023). ElitGA : Elitism Based Genetic Algorithm for Evaluation of Mutation Testing on Heterogeneous Dataset. International Journal of Intelligent Systems and Applications in Engineering, 11(4s), 509–516. Retrieved from https://ijisae.org/index.php/IJISAE/article/view/2720

Sánchez D, Servadei L, Kiprit G, Wille R and Ecker W. ,A Comprehensive Survey on Electronic Design Automation and Graph Neural Networks: Theory and Applications. ACM Transactions on Design Automation of Electronic Systems, Mar-2023

Li B, Zhang X, You H, Qi Z and Zhang Y. (2022). Machine Learning Based Framework for Fast Resource Estimation of RTL Designs Targeting FPGAs. ACM Transactions on Design Automation of Electronic Systems. 28:2. (1-16), Mar-2023.

Poovannan, E., and S. Karthik. "Power Prediction of VLSI Circuits Using Machine Learning." Computers, Materials & Continua, 2023.

Oh M, Lee K, Kim S and Park B. (2023). Data-driven multi-objective optimization with neural network-based sensitivity analysis for semiconductor devices. Engineering Applications of Artificial Intelligence, Jan-2023

Sahruday, Gaje, Anumula Srikanth, and Karne Harikrishna. "The Power Optimization and an Area Efficient of Static RAM 1-Bit Cell using CMOS Novel Technologies." Journal of Electronics and Informatics, 2023.

Khan, Imran Ullah, Nupur Mittal, and Mohd Amir Ansari. "Applications of VLSI Design in Artificial Intelligence and Machine Learning." Machine Learning for VLSI Chip Design, 2023.

Kumar, Pratik, et al. "Process, bias, and temperature scalable cmos analog computing circuits for machine learning." IEEE Transactions on Circuits and Systems I: Regular Papers, 2022.

Jacinto, Gabriel Lima, et al. "Exploring Machine Learning for Electrical Behavior Prediction: The CMOS Inverter Case Study." 2022 35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems Design (SBCCI). IEEE, 2022.

Bhavesh, Modi Divy, et al. "Power consumption prediction of digital circuits using machine learning." 2022 2nd International Conference on Artificial Intelligence and Signal Processing (AISP). IEEE, 2022.

Poovannan, E., and S. Karthik. "Pre Synthesis and Post Synthesis Power Estimation of VLSI Circuits Using Machine Learning Approach." Applied Artificial Intelligence, 2022.

Sahruday, Gaje, Anumula Srikanth, and Karne Harikrishna. "The Power Optimization and an Area Efficient of Static RAM 1-Bit Cell using CMOS Novel Technologies." Journal of Electronics and Informatics, 2023.

Khan, Imran Ullah, Nupur Mittal, and Mohd Amir Ansari. "Applications of VLSI Design in Artificial Intelligence and Machine Learning." Machine Learning for VLSI Chip Design, 2023.

Panagiotopoulou, C., et al. "Power Analysis Methodology for Energy Harvesting System on Chip." 2022 Panhellenic Conference on Electronics & Telecommunications (PACET). IEEE, 2022.

Syamala, Y. "Machine learning-based design automation of CMOS analog circuits using SCA-mGWO algorithm." [ETRI] ETRI Journal, 2022.

Olivera, Fabián, and Antonio Petraglia. "Ultra-low-power CMOS voltage references: Analysis and optimization regarding technology node." AEU-International Journal of Electronics and Communications, 2023.