An Intelligent Fault Alert Mechanism for Dynamic IoT Communication Microarchitecture

Main Article Content

Nitesh Gaikwad
Shiyamala S.

Abstract

The usage Internet of Things (IoT) was maximized throughout the entire world. Hence, the different core processors incorporated microarchitecture makes this IoT communication system. However, the rise of faults due to the malicious event and the data overload might maximize energy and power utilization. So, the current study has proposed a novel Chimp-based Domain adaptation Alert System (CbDAAS) for the dynamic IoT communication microarchitecture. Before initiating the communication sharing process, the present fault in the designed IoT dynamic core microarchitecture was predicted, and those cores were removed for the current data broadcasting process. Henceforth, the designed fault alert microarchitecture is tested in the MATLAB platform. The reliability was valued using different metrics like power usage, energy consumption and detection exactness value. Finally, the validated metrics were compared with the associated studies and scored the finest outcome in fault detection score as 98% and less energy usage at 0.025mj.

Article Details

How to Cite
Gaikwad, N. ., & S., S. . (2023). An Intelligent Fault Alert Mechanism for Dynamic IoT Communication Microarchitecture. International Journal on Recent and Innovation Trends in Computing and Communication, 11(10s), 95–103. https://doi.org/10.17762/ijritcc.v11i10s.7600
Section
Articles

References

Parepalli, Ramanamma, and Mohan Kumar Naik. "Design alternatives of Network-on-Chip (NoC) Router microarchitecture for future Communication System." 2022 International Conference on Advances in Computing, Communication and Applied Informatics (ACCAI). IEEE, 2022.

Mirhosseini, Amirhossein, et al. "Q-zilla: A scheduling framework and core microarchitecture for tail-tolerant microservices." 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA). IEEE, 2020.

Bourgeat, Thomas, et al. "Casa: End-to-end quantitative security analysis of randomly mapped caches." 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). IEEE, 2020.

Abu Al-Haija, Qasem, and Saleh Zein-Sabatto. "An efficient deep-learning-based detection and classification system for cyber-attacks in IoT communication networks." Electronics 9.12 (2020): 2152.

Ghodrati, Soroush, et al. "Planaria: Dynamic architecture fission for spatial multi-tenant acceleration of deep neural networks." 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). IEEE, 2020.

Omar, Hamza, Brandon D'Agostino, and Omer Khan. "OPTIMUS: A security-centric dynamic hardware partitioning scheme for processors that prevent microarchitecture state attacks." IEEE Transactions on Computers 69.11 (2020): 1558-1570.

Vicarte, Jose Rodrigo Sanchez, et al. "Opening pandora's box: A systematic study of new ways microarchitecture can leak private data." 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA). IEEE, 2021.

Tao, Wen, et al. "Review of the internet of things communication technologies in smart agriculture and challenges." Computers and Electronics in Agriculture 189 (2021): 106352.

Dai, Yi, et al. "Microarchitecture of a Configurable High-Radix Router for the Post-Moore Era." International Conference on High Performance Computing. Springer, Cham, 2021.

Nambiar, Vishnu P., et al. "Energy efficient 0.5 V 4.8 pJ/SOP 0.93 ?W leakage/core neuromorphic processor design." IEEE Transactions on Circuits and Systems II: Express Briefs 68.9 (2021): 3148-3152.

Rogers, Samuel, et al. "gem5-salam: A system architecture for llvm-based accelerator modeling." 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). IEEE, 2020.

Kumar, Chanchal, et al. "Post-silicon microarchitecture." IEEE Computer Architecture Letters 19.1 (2020): 26-29.

Nour, Boubakr, et al. "A survey of Internet of Things communication using ICN: A use case perspective." Computer Communications 142 (2019): 95-123.

Sreekumar, Rahul, and Mircea R. Stan. "Microarchitecture Optimization for Asynchronous Stochastic Computing." 2021 28th IEEE International Conference on Electronics, Circuits, and Systems (ICECS). IEEE, 2021.

Barbirotta, Marcello, et al. "Evaluation of Dynamic Triple Modular Redundancy in an Interleaved-Multi-Threading RISC-V Core." Journal of Low Power Electronics and Applications 13.1 (2022): 2.

Karthick, R., et al. "Overcome the challenges in bio-medical instruments using IOT–A review." Materials Today: Proceedings 45 (2021): 1614-1619.

Souri, Alireza, et al. "A systematic review of IoT communication strategies for an efficient smart environment." Transactions on Emerging Telecommunications Technologies 33.3 (2022): e3736.

Jeong, Ipoom, et al. "CASINO core microarchitecture: Generating out-of-order schedules using cascaded in-order scheduling windows." 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA). IEEE, 2020.

Hamdan, Omar, et al. "IoT-based interactive dual mode smart home automation." 2019 IEEE international conference on consumer electronics (ICCE). IEEE, 2019.

Tibaldi, Mattia, Gianluca Palermo, and Christian Pilato. "Dynamically-Tunable Dataflow Architectures Based on Markov Queuing Models." Electronics 11.4 (2022): 555.

Dharsni, I. Thanga, Kirti S. Pande, and Manoj Kumar Panda. "Optimized Hazard Free Pipelined Architecture Block for RV32I RISC-V Processor." 2022 3rd International Conference on Smart Electronics and Communication (ICOSEC). IEEE, 2022.

Guan, Xiuxian, et al. "ROG: A High Performance and Robust Distributed Training System for Robotic IoT." 2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO). IEEE, 2022.

Prabha , G. ., Mohan, A. ., Kumar, R. D. ., & Velrajkumar, G. . (2023). Computational Analogies of Polyvinyl Alcohol Fibres Processed Intellgent Systems with Ferrocement Slabs. International Journal of Intelligent Systems and Applications in Engineering, 11(4s), 313–321. Retrieved from https://ijisae.org/index.php/IJISAE/article/view/2669

Reddy, M. Lakshmi Prasad, and Sumanth Sakkara. "QNOC Isochronous Router with Efficient Dynamic Virtual channel and Error Termination." 2020 30th International Telecommunication Networks and Applications Conference (ITNAC). IEEE, 2020.

Ji, Baofeng, et al. "Performance analysis of UAV relay assisted IoT communication network enhanced with energy harvesting." IEEE Access 7 (2019): 38738-38747.

El Zouka, Hesham A., and Mustafa M. Hosni. "Secure IoT communications for smart healthcare monitoring system." Internet of Things 13 (2021): 100036.

Banerjee, Mandrita, Carlo Borges, Kim-Kwang Raymond Choo, Junghee Lee, and Chrysostomos Nicopoulos. "A hardware-assisted heartbeat mechanism for fault identification in large-scale iot systems." IEEE Transactions on Dependable and Secure Computing (2020)

Garcia, P., Martin, I., Garcia, J., Herrera, J., & Fernández, M. Enhancing Cyber security with Machine Learning-Based Intrusion Detection. Kuwait Journal of Machine Learning, 1(4). Retrieved from http://kuwaitjournals.com/index.php/kjml/article/view/157

Omar, Hamza, Brandon D'Agostino, and Omer Khan. "OPTIMUS: A security-centric dynamic hardware partitioning scheme for processors that prevent microarchitecture state attacks." IEEE Transactions on Computers 69.11 (2020): 1558-1570.

Paquola, Casey, et al. "Closing the mechanistic gap: the value of microarchitecture in understanding cognitive networks." Trends in Cognitive Sciences (2022).

Tan, Beng-Liong, et al. "RISC32-LP: Low-Power FPGA-Based IoT Sensor Nodes With Energy Reduction Program Analyzer." IEEE Internet of Things Journal 9.6 (2021): 4214-4228.

Kiat, Wei-Pau, et al. "An energy efficient FPGA partial reconfiguration based micro-architectural technique for IoT applications." Microprocessors and Microsystems 73 (2020): 102966.

Baek, Eunjin, et al. "STfusion: Fast and Flexible Multi-NN Execution using Spatio-Temporal Block Fusion and Memory Management." IEEE Transactions on Computers (2022).

Zhang, Yuelin, et al. "TDACNN: Target-domain-free domain adaptation convolutional neural network for drift compensation in gas sensors." Sensors and Actuators B: Chemical 361 (2022): 131739.

Jia, Heming, et al. "An enhanced chimp optimization algorithm for continuous optimization domains." Complex & Intelligent Systems 8.1 (2022),65-82